Chinese Journal of Applied Chemistry ›› 2021, Vol. 38 ›› Issue (9): 1029-1078.DOI: 10.19894/j.issn.1000-0518.210278
• Review • Previous Articles Next Articles
HU Xiao-Hua, XIONG Shi-Sheng*
Received:
2021-06-09
Accepted:
2021-07-15
Published:
2021-09-01
Online:
2021-09-06
Supported by:
CLC Number:
HU Xiao-Hua, XIONG Shi-Sheng. Advanced Lithography: Directed Self-Assembly[J]. Chinese Journal of Applied Chemistry, 2021, 38(9): 1029-1078.
Add to citation manager EndNote|Ris|BibTeX
URL: http://yyhx.ciac.jl.cn/EN/10.19894/j.issn.1000-0518.210278
[1] CHEN Y, XIONG S. Directed self-assembly of block copolymers for sub-10 nm fabrication[J]. Int J Extrem Manuf, 2020, 2(3): 032006. [2] BATES C M, MAHER M J, JANES D W, et al. Block copolymer lithography[J]. Macromolecules, 2014, 47(1): 2-12. [3] LO T Y, KRISHNAN M R, LU K Y, et al. Silicon-containing block copolymers for lithographic applications[J]. Prog Polym Sci, 2018, 77: 19-68. [4] SHAHIDI G G. Design-technology interaction for post-32 nm node CMOS technologies[J]. IEEE Xplore, 2010, 143-144. [5] WAGNER C, HARNED N. Lithography gets extreme[J]. Nat Photonics, 2010, 4(1): 24-26. [6] LIU C C, FRANKE E, MIGNOT Y, et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond[J]. Nat Electron, 2018, 1(10): 562-569. [7] PAN D Z. Directed self-assembly for advanced chips[J]. Nat Electron, 2018, 1(10): 530-531. [8] International roadmap for devices and systems (IRDSTM)[R]. 2020 Edition.https://irds.ieee.org/editions/2020. [9] MULLEN E, MORRIS M A. Green nanofabrication opportunities in the semiconductor industry: a life cycle perspective[J]. Nanomaterials, 2021, 11(5): 1085. [10] PINTO-GÓMEZ C, PÉREZ-MURANO F, BAUSELLS J, et al. Directed self-assembly of block copolymers for the fabrication of functional devices[J]. Polymers, 2020, 12(10): 2432. [11] JI S, WAN L, LIU C C, et al. Directed self-assembly of block copolymers on chemical patterns: a platform for nanofabrication[J]. Prog Polym Sci, 2016, 54-55: 76-127. [12] LI W, MÜLLER M. Directed self-assembly of block copolymers by chemical or topographical guiding patterns: optimizing molecular architecture, thin-film properties, and kinetics[J]. Prog Polym Sci, 2016, 54-55: 47-75. [13] HU H, GOPINADHAN M, OSUJI C O. Directed self-assembly of block copolymers: a tutorial review of strategies for enabling nanotechnology with soft matter[J]. Soft Matter, 2014, 10(22): 3867-3889. [14] JEONG S J, KIM J Y, KIM B H, et al. Directed self-assembly of block copolymers for next generation nanolithography[J]. Mater Today, 2013, 16(12): 468-476. [15] LUO M, EPPS T H. Directed block copolymer thin film self-assembly: emerging trends in nanopattern fabrication[J]. Macromolecules, 2013, 46(19): 7567-7579. [16] LIU C C, ESTRADA-RAYGOZA I C, ABDALLAH J, et al. Directed self-assembly process implementation in a 300 mm pilot line environment[J]. Proc SPIE, 2013, 8680: 86801G. [17] LIU C C, FRANKE E, MIGNOT Y, et al. DSA patterning options for logics and memory applications[J]. Proc SPIE, 2017, 10146: 1014603. [18] GRONHEID R, RINCON DELGADILLO P, PATHANGI H, et al. Defect reduction and defect stability in IMEC′s 14 nm half-pitch chemoepitaxy DSA flow[J]. Proc SPIE, 2014, 9049: 904905. [19] SAYAN S, MARZOOK T, CHAN B, et al. Toward sub-20 nm pitch fin patterning and integration with DSA[J]. Proc SPIE, 2016, 9779: 97790R. [20] GRONHEID R, DELGADILLO P R, SINGH A, et al. Readying directed self-assembly for patterning in semiconductor manufacturing[J]. J Photopolym Sci Technol, 2013, 26(6): 779-791. [21] TIRON R, GHARBI A, ARGOUD M, et al. The potential of block copolymer′s directed self-assembly for contact hole shrink and contact multiplication[J]. Proc SPIE, 2013, 8680: 868012. [22] PIMENTA BARROS P, BARNOLA S, GHARBI A, et al. Etch challenges for DSA implementation in CMOS via patterning[J]. Proc SPIE, 2014, 9054: 90540G. [23] KIM H C, PARK S M, HINSBERG W D. Block copolymer based nanostructures: materials, processes, and applications to electronics[J]. Chem Rev, 2010, 110(1): 146-177. [24] MAI Y, EISENBERG A. Self-assembly of block copolymers[J]. Chem Soc Rev, 2012, 41(18): 5969-5985. [25] SWANN J M G, TOPHAM P D. Design and application of nanoscale actuators using block copolymers[J]. Polymers, 2010, 2(4): 454-469. [26] PESTER C W, LIEDEL C, RUPPEL M, et al. Block copolymers in electric fields[J]. Prog Polym Sci, 2017, 64: 182-214. [27] YANG G W, WU G P, CHEN X, et al. Directed self-assembly of polystyrene-b-poly(propylene carbonate) on chemical patterns via thermal annealing for next generation lithography[J]. Nano Lett, 2017, 17(2): 1233-1239. [28] GHARBI A, TIRON R, BARROS P P, et al. PMMA removal options by wet development in PS-b-PMMA block copolymer for nanolithographic mask fabrication[J]. J Vac Sci Technol B, 2015, 33(5): 051602. [29] XIONG S, WAN L, ISHIDA Y, et al. Directed self-assembly of triblock copolymer on chemical patterns for sub-10 nm nanofabrication via solvent annealing[J]. ACS Nano, 2016, 10(8): 7855-7865. [30] YU D M, SMITH D M, KIM H, et al. Two-step chemical transformation of polystyrene-block-poly(solketal acrylate) copolymers for increasing χ[J]. Macromolecules, 2019, 52(17): 6458-6466. [31] JEONG G, YU D M, MAPAS J K D, et al. Realizing 5.4 nm full pitch lamellar microdomains by a solid-state transformation[J]. Macromolecules, 2017, 50(18): 7148-7154. [32] YU D M, MAPAS J K D, KIM H, et al. Evaluation of the interaction parameter for poly(solketal methacrylate)-block-polystyrene copolymers[J]. Macromolecules, 2018, 51(3): 1031-1040. [33] SUH H S, MANNAERT G, VANDENBROECK N, et al. Development of high-chi directed self-assembly process based on key learning from PS-b-PMMA system[J]. Proc SPIE, 2021, 11612: 116120P. [34] WAN L, RUIZ R, GAO H, et al. The limits of lamellae-forming PS-b-PMMA block copolymers for lithography[J]. ACS Nano, 2015, 9(7): 7506-7514. [35] REN Y, LODGE T P, HILLMYER M A. Synthesis, characterization, and interaction strengths of difluorocarbene-modified polystyrene-polyisoprene block copolymers[J]. Macromolecules, 2000, 33(3): 866-876. [36] BARUTH A, SEO M, LIN C H, et al. Optimization of long-range order in solvent vapor annealed poly(styrene)-block-poly(lactide) thin films for nanolithography[J]. ACS Appl Mater Interfaces, 2014, 6(16): 13770-13781. [37] JUNG Y S, CHANG J B, VERPLOEGEN E, et al. A path to ultranarrow patterns using self-assembled lithography[J]. Nano Lett, 2010, 10(3): 1000-1005. [38] VORA A, CHUNDER A, TJIO M, et al. Synthesis and characterization of polycarbonate-containing all-organic high-χ block copolymers for directed self-assembly[J]. J Photopolym Sci Technol, 2015, 28(5): 659-662. [39] HAMMOND M R, COCHRAN E, FREDRICKSON G H, et al. Temperature dependence of order, disorder, and defects in laterally confined diblock copolymer cylinder monolayers[J]. Macromolecules, 2005, 38(15): 6575-6585. [40] SUH H S, KIM D H, MONI P, et al. Sub-10 nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat[J]. Nat Nanotechnol, 2017, 12(6): 575-581. [41] KENNEMUR J G, HILLMYER M A, BATES F S. Synthesis, thermodynamics, and dynamics of poly(4-tert-butylstyrene-b-methyl methacrylate)[J]. Macromolecules, 2012, 45(17): 7228-7236. [42] DURAND W J, BLACHUT G, MAHER M J, et al. Design of high-χ block copolymers for lithography[J]. J Polym Sci A Polym Chem, 2015, 53(2): 344-352. [43] ZHANG X, HE Q, CHEN Q, et al. Directed self-assembly of high χ poly(styrene-b-(lactic acid-alt-glycolic acid)) block copolymers on chemical patterns via thermal annealing[J]. ACS Macro Lett, 2018, 7(6): 751-756. [44] PANG Y, JIN X, HUANG G, et al. Directed self-assembly of styrene-methyl acrylate block copolymers with sub-7 nm features via thermal annealing[J]. Macromolecules, 2019, 52(8): 2987-2994. [45] SWEAT D P, KIM M, SCHMITT A K, et al. Phase behavior of poly(4-hydroxystyrene-block-styrene) synthesized by living anionic polymerization of an acetal protected monomer[J]. Macromolecules, 2014, 47(18): 6302-6310. [46] CHAUDHARI A, GHOSHAL T, SHAW M, et al. Formation of sub-7 nm feature size PS-b-P4VP block copolymer structures by solvent vapour process[J]. Proc SPIE, 2014, 9051: 905110. [47] JO S, JEON S, JUN T, et al. Fluorine-containing styrenic block copolymers toward high χ and perpendicular lamellae in thin films[J]. Macromolecules, 2018, 51(18): 7152-7159. [48] SWEAT D P, KIM M, LARSON S R, et al. Rational design of a block copolymer with a high interaction parameter[J]. Macromolecules, 2014, 47(19): 6687-6696. [49] KENNEMUR J G, YAO L, BATES F S, et al. Sub-5 nm domains in ordered poly(cyclohexylethylene)-block-poly(methyl methacrylate) block polymers for lithography[J]. Macromolecules, 2014, 47(4): 1411-1418. [50] LUO Y, MONTARNAL D, KIM S, et al. Poly(dimethylsiloxane-b-methyl methacrylate): a promising candidate for sub-10 nm patterning[J]. Macromolecules, 2015, 48(11): 3422-3430. [51] LI X, LI J, WANG C, et al. Fast self-assembly of polystyrene-b-poly(fluoro methacrylate) into sub-5 nm microdomains for nanopatterning applications[J]. J Mater Chem C, 2019, 7(9): 2535-2540. [52] LI X, DENG H. Poly(2-vinylpyridine)-b-poly(fluorinated methacrylate) block copolymers forming 5 nm domains containing metallocene[J]. ACS Appl Polym Mater, 2020, 2(8): 3601-3611. [53] AZUMA K, SUN J, CHOO Y, et al. Self-assembly of an ultrahigh-χ block copolymer with versatile etch selectivity[J]. Macromolecules, 2018, 51(16): 6460-6467. [54] ZHANG W, HUANG M, ABDULLATIF S A, et al. Reduction of (meth)acrylate-based block copolymers provides access to self-assembled materials with ultrasmall domains[J]. Macromolecules, 2018, 51(17): 6757-6763. [55] KWAK J, MISHRA A K, LEE J, et al. Fabrication of sub-3 nm feature size based on block copolymer self-assembly for next-generation nanolithography[J]. Macromolecules, 2017, 50(17): 6813-6818. [56] CUSHEN J D, BATES C M, RAUSCH E L, et al. Thin film self-assembly of poly(trimethylsilylstyrene-b-D,L-lactide) with sub-10 nm domains[J]. Macromolecules, 2012, 45(21): 8722-8728. [57] MANSKY P, HAIKIN P, THOMAS E L. Monolayer films of diblock copolymer microdomains for nanolithographic applications[J]. J Mater Sci, 1995, 30(8): 1987-1992. [58] MANSKY P, RUSSELL T P, HAWKER C J, et al. Ordered diblock copolymer films on random copolymer brushes[J]. Macromolecules, 1997, 30(22): 6810-6813. [59] SEGALMAN R A, YOKOYAMA H, KRAMER E J. Graphoepitaxy of spherical domain block copolymer films[J]. Adv Mater, 2001, 13(15): 1152-1155. [60] PARK S M, STOYKOVICH M P, RUIZ R, et al. Directed assembly of lamellae-forming block copolymers by using chemically and topographically patterned substrates[J]. Adv Mater, 2007, 19(4): 607-611. [61] JUNG Y S, ROSS C A. Solvent-vapor-induced tunability of self-assembled block copolymer patterns[J]. Adv Mater, 2009, 21(24): 2540-2545. [62] HAN E, KANG H, LIU C C, et al. Graphoepitaxial assembly of symmetric block copolymers on weakly preferential substrates[J]. Adv Mater, 2010, 22(38): 4325-4329. [63] HOBBS R G, FARRELL R A, BOLGER C T, et al. Selective sidewall wetting of polymer blocks in hydrogen silsesquioxane directed self-assembly of PS-b-PDMS[J]. ACS Appl Mater Interfaces, 2012, 4(9): 4637-4642. [64] NAKATANI R, TAKANO H, CHANDRA A, et al. Perpendicular orientation control without interfacial treatment of RAFT-synthesized high-χ block copolymer thin films with sub-10 nm features prepared via thermal annealing[J]. ACS Appl Mater Interfaces, 2017, 9(37): 31266-31278. [65] KIM S, SHIN D O, CHOI D G, et al. Graphoepitaxy of block copolymer self-assembly integrated with single-step ZnO nanoimprinting[J]. Small, 2012, 8(10): 1563-1569. [66] BORAH D, RASSAPA S, SHAW M T, et al. Directed self-assembly of PS-b-PMMA block copolymer using HSQ lines for translational alignment[J]. J Mater Chem C, 2013, 1(6): 1192-1196. [67] OUK KIM S, SOLAK H H, STOYKOVICH M P, et al. Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates[J]. Nature, 2003, 424(6947): 411-414. [68] STOYKOVICH M P, M LLER M, KIM S O, et al. Directed assembly of block copolymer blends into nonregular device-oriented structures[J]. Science, 2005, 308(5727): 1442-1446. [69] RUIZ R, KANG H, DETCHEVERRY F A, et al. Density multiplication and improved lithography by directed block copolymer assembly[J]. Science, 2008, 321(5891): 936-939. [70] STOYKOVICH M P, KANG H, DAOULAS K C, et al. Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries[J]. ACS Nano, 2007, 1(3): 168-175. [71] LIU G, THOMAS C S, CRAIG G S W, et al. Integration of density multiplication in the formation of device-oriented structures by directed assembly of block copolymer-homopolymer blends[J]. Adv Funct Mater, 2010, 20(8): 1251-1257. [72] LIU C C, HAN E, ONSES M S, et al. Fabrication of lithographically defined chemically patterned polymer brushes and mats[J]. Macromolecules, 2011, 44(7): 1876-1885. [73] WAN L, RUIZ R, GAO H, et al. Self-registered self-assembly of block copolymers[J]. ACS Nano, 2017, 11(8): 7666-7673. [74] JACOBBERGER R M, THAPAR V, WU G P, et al. Boundary-directed epitaxy of block copolymers[J]. Nat Commun, 2020, 11(1): 4151. [75] ANGELESCU D E, WALLER J H, REGISTER R A, et al. Shear-induced alignment in thin films of spherical nanodomains[J]. Adv Mater, 2005, 17(15): 1878-1881. [76] SINGH G, YAGER K G, BERRY B, et al. Dynamic thermal field-induced gradient soft-shear for highly oriented block copolymer thin films[J]. ACS Nano, 2012, 6(11): 10335-10342. [77] DAVIS R L, CHAIKIN P M, REGISTER R A. Cylinder orientation and shear alignment in thin films of polystyrene poly(n-hexyl methacrylate) diblock copolymers[J]. Macromolecules, 2014, 47(15): 5277-5285. [78] KANG H, KIM K, SOHN B H. Shearing with solvent vapor annealing on block copolymer thin films for templates with macroscopically aligned nanodomains[J]. Nanotechnology, 2020, 31(45): 455302. [79] MAJEWSKI P W, RAHMAN A, BLACK C T, et al. Arbitrary lattice symmetries via block copolymer nanomeshes[J]. Nat Commun, 2015, 6(1): 7448. [80] JIN H M, LEE S H, KIM J Y, et al. Laser writing block copolymer self-assembly on graphene light-absorbing layer[J]. ACS Nano, 2016, 10(3): 3435-3442. [81] LENIART A A, PULA P, SITKIEWICZ A, et al. Macroscopic alignment of block copolymers on silicon substrates by laser annealing[J]. ACS Nano, 2020, 14(4): 4805-4815. [82] MAJEWSKI P W, YAGER K G. Millisecond ordering of block copolymer films via photothermal gradients[J]. ACS Nano, 2015, 9(4): 3896-3906. [83] NOWAK S R, YAGER K G. Photothermally directed assembly of block copolymers[J]. Adv Mater Interfaces, 2020, 7(5): 1901679. [84] JO S, JEON S, KIM H, et al. Balanced interfacial interactions for fluoroacrylic block copolymer films and fast electric field directed assembly[J]. Chem Mater, 2020, 32(22): 9633-9641. [85] SEVINK G J A, PINNA M, LANGNER K M, et al. Selective disordering of lamella-forming diblock copolymers under an electric field[J]. Soft Matter, 2011, 7(11): 5161-5170. [86] ONSES M S, SONG C, WILLIAMSON L, et al. Hierarchical patterns of three-dimensional block-copolymer films formed by electrohydrodynamic jet printing and self-assembly[J]. Nat Nanotechnol, 2013, 8(9): 667-675. [87] MAHER M J, RETTNER C T, BATES C M, et al. Directed self-assembly of silicon-containing block copolymer thin films[J]. ACS Appl Mater Interfaces, 2015, 7(5): 3323-3328. [88] DO H W, CHOI H K, GADELRAB K R, et al. Directed self-assembly of a two-state block copolymer system[J]. Nano Converg, 2018, 5(1): 25. [89] GOTTLIEB S, R SNER B, EVANGELIO L, et al. Self-assembly morphology of block copolymers in sub-10 nm topographical guiding patterns[J]. Mol Syst Des Eng, 2019, 4(1): 175-185. [90] YUN H S, DO H W, BERGGREN K K, et al. Commensurability-driven orientation control during block copolymer directed self-assembly[J]. ACS Appl Mater Interfaces, 2020, 12(9): 10852-10857. [91] LI H W, HUCK W T S. Ordered block copolymer assembly using nanoimprint lithography[J]. Nano Lett, 2004, 4(9): 1633-1636. [92] PARK S M, LIANG X, HARTENECK B D, et al. Sub-10 nm nanofabrication via nanoimprint directed self-assembly of block copolymers[J]. ACS Nano, 2011, 5(11): 8523-8531. [93] RASAPPA S, SCHULTE L, NDONI S, et al. Directed self-assembly of a high-chi block copolymer for the fabrication of optical nanoresonators[J]. Nanoscale, 2018, 10(38): 18306-18314. [94] BORAH D, SIMAO C D, SENTHAMARAIKANNAN R, et al. Soft-graphoepitaxy using nanoimprinted polyhedral oligomeric silsesquioxane substrates for the directed self-assembly of PS-b-PDMS[J]. Eur Polym J, 2013, 49(11): 3512-3521. [95] BORAH D, RASAPPA S, SALAUN M, et al. Soft graphoepitaxy for large area directed self-assembly of polystyrene-block-poly(dimethylsiloxane) block copolymer on nanopatterned POSS substrates fabricated by nanoimprint lithography[J]. Adv Funct Mater, 2015, 25(22): 3425-3432. [96] CHENG J Y, SANDERS D P, TRUONG H D, et al. Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist[J]. ACS Nano, 2010, 4(8): 4815-4823. [97] GIRARDOT C, BÖHME S, ARCHAMBAULT S, et al. Pulsed transfer etching of PS-PDMS block copolymers self-assembled in 193 nm lithography stacks[J]. ACS Appl Mater Interfaces, 2014, 6(18): 16276-16282. [98] ARIAS-ZAPATA J, B HME S, GARNIER J, et al. Ultrafast assembly of PS-PDMS block copolymers on 300 mm wafers by blending with plasticizers[J]. Adv Funct Mater, 2016, 26(31): 5690-5700. [99] JEONG S J, KIM J E, MOON H S, et al. Soft graphoepitaxy of block copolymer assembly with disposable photoresist confinement[J]. Nano Lett, 2009, 9(6): 2300-2305. [100] JEONG S J, MOON H S, SHIN J, et al. One-dimensional metal nanowire assembly via block copolymer soft graphoepitaxy[J]. Nano Lett, 2010, 10(9): 3500-3505. [101] KIM E, AHN H, PARK S, et al. Directed assembly of high molecular weight block copolymers: highly ordered line patterns of perpendicularly oriented lamellae with large periods[J]. ACS Nano, 2013, 7(3): 1952-1960. [102] CHOI E, PARK S, AHN H, et al. Substrate-independent lamellar orientation in high molecular weight polystyrene-b-poly(methyl methacrylate) films: neutral solvent vapor and thermal annealing effect[J]. Macromolecules, 2014, 47(12): 3969-3977. [103] KIM K, PARK S, KIM Y, et al. Optimized solvent vapor annealing for long-range perpendicular lamellae in PS-b-PMMA films[J]. Macromolecules, 2016, 49(5): 1722-1730. [104] JUNG H, JUN T, LEE W, et al. Ordering and orientation of giant nanostructures from high molecular weight block copolymer via solvent vapor annealing process[J]. J Photopolym Sci Technol, 2018, 31(4): 479-482. [105] MOON H S, KIM J Y, JIN H M, et al. Atomic layer deposition assisted pattern multiplication of block copolymer lithography for 5 nm scale nanopatterning[J]. Adv Funct Mater, 2014, 24(27): 4343-4348. [106] CHEN Y. Nanofabrication by electron beam lithography and its applications: a review[J]. Microelectron Eng, 2015, 135: 57-72. [107] XIAO S, YANG X, EDWARDS E W, et al. Graphoepitaxy of cylinder-forming block copolymers for use as templates to pattern magnetic metal dot arrays[J]. Nanotechnology, 2005, 16(7): S324-S329. [108] KIM M, HAN E, SWEAT D P, et al. Interplay of surface chemical composition and film thickness on graphoepitaxial assembly of asymmetric block copolymers[J]. Soft Matter, 2013, 9(26): 6135-6141. [109] TSAI H, PITERA J W, MIYAZOE H, et al. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication[J]. ACS Nano, 2014, 8(5): 5227-5232. [110] CUMMINS C, KELLY R A, GANGNAIK A, et al. Solvent vapor annealing of block copolymers in confined topographies: commensurability considerations for nanolithography[J]. Macromol Rapid Commun, 2015, 36(8): 762-767. [111] TAVAKKOLI K G A, NICAISE S M, GADELRAB K R, et al. Multilayer block copolymer meshes by orthogonal self-assembly[J]. Nat Commun, 2016, 7(1): 10518. [112] XIAO S, YANG X, STEINER P, et al. Servo-integrated patterned media by hybrid directed self-assembly[J]. ACS Nano, 2014, 8(11): 11854-11859. [113] BEKAERT J, DOISE J, KUPPUSWAMY V K M, et al. Contact hole multiplication using grapho-epitaxy directed self-assembly: process choices, template optimization, and placement accuracy[J]. Proc SPIE, 2014, 9231: 92310R. [114] GRAVES T, PRET A V, ROBERTSON S, et al. DSA graphoepitaxy calibrations for contact hole multiplication[J]. Proc SPIE, 2015, 9425: 94250Y. [115] LIU G, DETCHEVERRY F, RAM REZ-HERN NDEZ A, et al. Nonbulk complex structures in thin films of symmetric block copolymers on chemically nanopatterned surfaces[J]. Macromolecules, 2012, 45(9): 3986-3992. [116] XIONG S, CHAPUIS Y A, WAN L, et al. Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing[J]. Nanotechnology, 2016, 27(41): 415601. [117] ZHOU C, DOLEJSI M, XIONG S, et al. Combining double patterning with self-assembled block copolymer lamellae to fabricate 10.5 nm full-pitch line/space patterns[J]. Nanotechnology, 2019, 30(45): 455302. [118] DOERK G S, CHENG J Y, SINGH G, et al. Enabling complex nanoscale pattern customization using directed self-assembly[J]. Nat Commun, 2014, 5(1): 5805. [119] XU J, PARK S, WANG S, et al. Directed self-assembly of block copolymers on two-dimensional chemical patterns fabricated by electro-oxidation nanolithography[J]. Adv Mater, 2010, 22(20): 2268-2272. [120] RICE R H, MOKARIAN-TABARI P, KING W P, et al. Local thermomechanical analysis of a microphase-separated thin lamellar PS-b-PEO film[J]. Langmuir, 2012, 28(37): 13503-13511. [121] ORIA L, DE LUZURIAGA A R, ALDUNC N J A, et al. Block co-polymer multiple patterning directed self-assembly on PS-OH brush layer and AFM based nanolithography[J]. Proc SPIE, 2013, 8680: 868022. [122] GOTTLIEB S, LORENZONI M, EVANGELIO L, et al. Thermal scanning probe lithography for the directed self-assembly of block copolymers[J]. Nanotechnology, 2017, 28(17): 175301. [123] CHEN X, DELGADILLO P R, JIANG Z, et al. Defect annihilation in the directed self-assembly of block copolymers in films with increasing thickness[J]. Macromolecules, 2019, 52(20): 7798-7805. [124] LI J, RINCON-DELGADILLO P, SUH H S, et al. Kinetics of defect annihilation in chemoepitaxy directed self-assembly[J]. Proc SPIE, 2019, 10960: 109600V. [125] LI J, RINCON-DELGADILLO P A, SUH H S, et al. Understanding kinetics of defect annihilation in chemoepitaxy directed self-assembly[J]. ACS Appl Mater Interfaces, 2021, 13(21): 25357-25364. [126] JEONG S J, MOON H S, KIM B H, et al. Ultralarge-area block copolymer lithography enabled by disposable photoresist prepatterning[J]. ACS Nano, 2010, 4(9): 5181-5186. [127] JEONG S J, KIM S O. Ultralarge-area block copolymer lithography via soft graphoepitaxy[J]. J Mater Chem, 2011, 21(16): 5856-5859. [128] WAN L, RUIZ R. Path to move beyond the resolution limit with directed self-assembly[J]. ACS Appl Mater Interfaces, 2019, 11(22): 20333-20340. [129] EVANGELIO L, FERN NDEZ-REG LEZ M, BORRIS X, et al. Creation of guiding patterns for directed self-assembly of block copolymers by resistless direct E-beam exposure[J]. Proc SPIE, 2015, 9423: 942326. [130] CAO Y, HER Y, DELGADILLO P, et al. Using process monitor wafers to understand directed self-assembly defects[J]. Proc SPIE, 2013, 8680:86801S. [131] RINCON DELGADILLO P, GRONHEID R, THODE C, et al. All track directed self-assembly of block copolymers: process flow and origin of defects[J]. Proc SPIE, 2012, 8323: 83230D. [132] KIM Y C, SHIN T J, HUR S M, et al. Shear-solvo defect annihilation of diblock copolymer thin films over a large area[J]. Sci Adv, 2019, 5(6): eaaw3974. [133] YONG D, JIN H M, KIM S O, et al. Laser-directed self-assembly of highly aligned lamellar and cylindrical block copolymer nanostructures: experiment and simulation[J]. Macromolecules, 2018, 51(4): 1418-1426. [134] SINGER J P, GOTRIK K W, LEE J H, et al. Alignment and reordering of a block copolymer by solvent-enhanced thermal laser direct write[J]. Polymer, 2014, 55(7): 1875-1882. [135] MAJEWSKI P W, YAGER K G. Latent alignment in pathway-dependent ordering of block copolymer thin films[J]. Nano Lett, 2015, 15(8): 5221-5228. [136] KATHREIN C C, BAI W, CURRIVAN-INCORVIA J A, et al. Combining graphoepitaxy and electric fields toward uniaxial alignment of solvent-annealed polystyrene b poly(dimethylsiloxane) block copolymers[J]. Chem Mater, 2015, 27(19): 6890-6898. [137] MORKVED T L, LU M, URBAS A M, et al. Local control of microdomain orientation in diblock copolymer thin films with electric fields[J]. Science, 1996, 273(5277): 931-933. [138] OLSZOWKA V, TSARKOVA L, BÖKER A. 3-Dimensional control over lamella orientation and order in thick block copolymer films[J]. Soft Matter, 2009, 5(4): 812-819. [139] LIEDEL C, PESTER C W, RUPPEL M, et al. Beyond orientation: the impact of electric fields on block copolymers[J]. Macromol Chem Phys, 2012, 213(3): 259-269. [140] RUPPEL M, PESTER C W, LANGNER K M, et al. Electric field induced selective disordering in lamellar block copolymers[J]. ACS Nano, 2013, 7(5): 3854-3867. [141] CHOI Y J, BYUN M H, PARK T W, et al. Rapid and cyclable morphology transition of high-χ block copolymers via solvent vapor-immersion annealing for nanoscale lithography[J]. ACS Appl Nano Mater, 2019, 2(3): 1294-1301. [142] GOTRIK K W, HANNON A F, SON J G, et al. Morphology control in block copolymer films using mixed solvent vapors[J]. ACS Nano, 2012, 6(9): 8052-8059. [143] GU X, GUNKEL I, HEXEMER A, et al. An in situ grazing incidence X-ray scattering study of block copolymer thin films during solvent vapor annealing[J]. Adv Mater, 2014, 26(2): 273-281. [144] PROCHUKHAN N, SELKIRK A, LUNDY R, et al. Large-area fabrication of vertical silicon nanotube arrays via toroidal micelle self-assembly[J]. Langmuir, 2021, 37(5): 1932-1940. [145] SELKIRK A, PROCHUKHAN N, LUNDY R, et al. Optimization and control of large block copolymer self-assembly via precision solvent vapor annealing[J]. Macromolecules, 2021, 54(3): 1203-1215. [146] SINTUREL C, VAYER M, MORRIS M, et al. Solvent vapor annealing of block polymer thin films[J]. Macromolecules, 2013, 46(14): 5399-5415. [147] GOTRIK K W, ROSS C A. Solvothermal annealing of block copolymer thin films[J]. Nano Lett, 2013, 13(11): 5117-5122. [148] JEONG J W, PARK W I, KIM M J, et al. Highly tunable self-assembled nanostructures from a poly(2-vinylpyridine-b-dimethylsiloxane) block copolymer[J]. Nano Lett, 2011, 11(10): 4095-4101. [149] BORAH D, SENTHAMARAIKANNAN R, RASAPPA S, et al. Swift nanopattern formation of PS-b-PMMA and PS-b-PDMS block copolymer films using a microwave assisted technique[J]. ACS Nano, 2013, 7(8): 6583-6596. [150] BORAH D, SHAW M T, HOLMES J D, et al. Sub-10 nm feature size PS-b-PDMS block copolymer structures fabricated by a microwave-assisted solvothermal process[J]. ACS Appl Mater Interfaces, 2013, 5(6): 2004-2012. [151] JIN C, MURPHY J N, HARRIS K D, et al. Deconvoluting the mechanism of microwave annealing of block copolymer thin films[J]. ACS Nano, 2014, 8(4): 3979-3991. [152] GIRAUD E C, GHOSHAL T, MORRIS M A. Observation of ordered microphase separation of block copolymer micellar thin films under argon-plasma radiation[J]. Appl Surf Sci, 2021, 561: 149800. [153] BATES C M, SESHIMO T, MAHER M J, et al. Polarity-switching top coats enable orientation of sub-10 nm block copolymer domains[J]. Science, 2012, 338(6108): 775-779. [154] LANE A P, YANG X, MAHER M J, et al. Directed self-assembly and pattern transfer of five nanometer block copolymer lamellae[J]. ACS Nano, 2017, 11(8): 7656-7665. [155] CUMMINS C, MOKARIAN-TABARI P, ANDREAZZA P, et al. Solvothermal vapor annealing of lamellar poly(styrene)-block-poly(D,L-lactide) block copolymer thin films for directed self-assembly application[J]. ACS Appl Mater Interfaces, 2016, 8(12): 8295-8304. [156] HULKKONEN H, SALMINEN T, NIEMI T. Automated solvent vapor annealing with nanometer scale control of film swelling for block copolymer thin films[J]. Soft Matter, 2019, 15(39): 7909-7917. [157] XIONG S, LI D, HUR S M, et al. The solvent distribution effect on the self-assembly of symmetric triblock copolymers during solvent vapor annealing[J]. Macromolecules, 2018, 51(18): 7145-7151. [158] SON J G, CHANG J B, BERGGREN K K, et al. Assembly of sub-10 nm block copolymer patterns with mixed morphology and period using electron irradiation and solvent annealing[J]. Nano Lett, 2011, 11(11): 5079-5084. [159] JUNG Y S, LEE J H, LEE J Y, et al. Fabrication of diverse metallic nanowire arrays based on block copolymer self-assembly[J]. Nano Lett, 2010, 10(9): 3722-3726. [160] WAN L, JI S, LIU C C, et al. Directed self-assembly of solvent-vapor-induced non-bulk block copolymer morphologies on nanopatterned substrates[J]. Soft Matter, 2016, 12(11): 2914-2922. [161] LI D, ZHOU C, XIONG S, et al. Enhanced microphase separation of thin films of low molecular weight block copolymer by the addition of an ionic liquid[J]. Soft Matter, 2019, 15(48): 9991-9996. [162] PARK W I, KIM K, JANG H I, et al. Directed self-assembly with sub-100 degrees celsius processing temperature, sub-10 nanometer resolution, and sub-1 minute assembly time[J]. Small, 2012, 8(24): 3762-3768. [163] HULKKONEN H H, SALMINEN T, NIEMI T. Block copolymer patterning for creating porous silicon thin films with tunable refractive indices[J]. ACS Appl Mater Interfaces, 2017, 9(37): 31260-31265. [164] KO T H, LO K H, WU C H, et al. Defectivity study for directed self-assembly (DSA) contact hole shrink[J]. J Photopolym Sci Technol, 2016, 29(6): 793-796. [165] LIU C C, NEALEY P F, TING Y H, et al. Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching[J]. J Vac Sci Technol B, 2007, 25(6): 1963-1968. [166] TING Y H, PARK S M, LIU C C, et al. Plasma etch removal of poly(methyl methacrylate) in block copolymer lithography[J]. J Vac Sci Technol B, 2008, 26(5): 1684-1689. [167] SERVIN I, TIRON R, GHARBI A, et al. Contact hole shrink by directed self-assembly: process integration and stability monitored on 300 mm pilot line[J]. Jpn J Appl Phys, 2014, 53(6S): 06JC05. [168] WATANABE R, KAMATA K, IYODA T. Nanodimple arrays fabricated on SiO2 surfaces by wet etching through block copolymer thin films[J]. Jpn J Appl Phys, 2008, 47(6): 5039-5041. [169] PARK S, YAVUZCETIN O, KIM B, et al. A simple top-down/bottom-up approach to sectored, ordered arrays of nanoscopic elements using block copolymers[J]. Small, 2009, 5(9): 1064-1069. [170] GRONHEID R, SINGH A, YOUNKIN T, et al. Rectification of EUV-patterned contact holes using directed self-assembly[J]. Proc SPIE, 2013, 8682: 86820A. [171] CHEVALIER X, NICOLET C, TIRON R, et al. Self-assembly of high-resolutions PS-b-PMMA block-copolymers: processes capabilities and integration on 300 mm track[J]. Proc SPIE, 2014, 9049: 90490Y. [172] RUIZ R, WAN L, LILLE J, et al. Image quality and pattern transfer in directed self assembly with block-selective atomic layer deposition[J]. J Vac Sci Technol B, 2012, 30(6): 06F202. [173] FRASCAROLI J, CIANCI E, SPIGA S, et al. Ozone-based sequential infiltration synthesis of Al2O3 nanostructures in symmetric block copolymer[J]. ACS Appl Mater Interfaces, 2016, 8(49): 33933-33942. [174] YI D H, NAM C Y, DOERK G, et al. Infiltration synthesis of diverse metal oxide nanostructures from epoxidized diene-styrene block copolymer templates[J]. ACS Appl Polym Mater, 2019, 1(4): 672-683. [175] CARA E, MURATAJ I, MILANO G, et al. Recent advances in sequential infiltration synthesis (SIS) of block copolymers (BCPs)[J]. Nanomaterials, 2021, 11(4): 994. [176] PENG Q, TSENG Y C, LONG Y, et al. Effect of nanostructured domains in self-assembled block copolymer films on sequential infiltration synthesis[J]. Langmuir, 2017, 33(46): 13214-13223. [177] AZOULAY R, SHOMRAT N, WEISBORD I, et al. Metal oxide heterostructure array via spatially controlled-growth within block copolymer templates[J]. Small, 2019, 15(51): 1904657. [178] SEGAL-PERETZ T, WINTERSTEIN J, DOXASTAKIS M, et al. Characterizing the three-dimensional structure of block copolymers via sequential infiltration synthesis and scanning transmission electron tomography[J]. ACS Nano, 2015, 9(5): 5333-5347. [179] CHAI J, WANG D, FAN X, et al. Assembly of aligned linear metallic patterns on silicon[J]. Nat Nanotechnol, 2007, 2(8): 500-506. [180] CHAI J, BURIAK J M. Using cylindrical domains of block copolymers to self-assemble and align metallic nanowires[J]. ACS Nano, 2008, 2(3): 489-501. [181] KANG G B, KIM S I, KIM Y T, et al. Fabrication of metal nano dot dry etching mask using block copolymer thin film[J]. Curr Appl Phys, 2009, 9(1): S82-S84. [182] HONG A J, LIU C C, WANG Y, et al. Metal nanodot memory by self-assembled block copolymer lift-off[J]. Nano Lett, 2010, 10(1): 224-229. [183] TU K H, BAI W, LIONTOS G, et al. Universal pattern transfer methods for metal nanostructures by block copolymer lithography[J]. Nanotechnology, 2015, 26(37): 375301. [184] FRASCAROLI J, BRIVIO S, FERRARESE LUPI F, et al. Resistive switching in high-density nanodevices fabricated by block copolymer self-assembly[J]. ACS Nano, 2015, 9(3): 2518-2529. [185] FLYNN S P, BOGAN J, LUNDY R, et al. Nitrogen reactive ion etch processes for the selective removal of poly-(4-vinylpyridine) in block copolymer films[J]. Nanotechnology, 2018, 29(35): 355302. [186] NUNNS A, GWYTHER J, MANNERS I. Inorganic block copolymer lithography[J]. Polymer, 2013, 54(4): 1269-1284. [187] CHANG J B, CHOI H K, HANNON A F, et al. Design rules for self-assembled block copolymer patterns using tiled templates[J]. Nat Commun, 2014, 5(1): 3305. [188] LAMMERTINK R G H, HEMPENIUS M A, CHAN V Z H, et al. Poly(ferrocenyldimethylsilanes) for reactive ion etch barrier applications[J]. Chem Mater, 2001, 13(2): 429-434. [189] AISSOU K, MUMTAZ M, FLEURY G, et al. Sub-10 nm features obtained from directed self-assembly of semicrystalline polycarbosilane-based block copolymer thin films[J]. Adv Mater, 2015, 27(2): 261-265. [190] TSENG Y C, PENG Q, OCOLA L E, et al. Enhanced block copolymer lithography using sequential infiltration synthesis[J]. J Phys Chem C, 2011, 115(36): 17725-17729. [191] ELAM J W, BISWAS M, DARLING S, et al. New insights into sequential infiltration synthesis[J]. ECS Trans, 2015, 69(7): 147-157. [192] WALDMAN R Z, MANDIA D J, YANGUAS-GIL A, et al. The chemical physics of sequential infiltration synthesis-a thermodynamic and kinetic perspective[J]. J Chem Phys, 2019, 151(19): 190901. [193] KIM J J, SUH H S, ZHOU C, et al. Mechanistic understanding of tungsten oxide in-plane nanostructure growth via sequential infiltration synthesis[J]. Nanoscale, 2018, 10(7): 3469-3479. [194] SINGH A, KNAEPEN W, SAYAN S, et al. Impact of sequential infiltration synthesis on pattern fidelity of DSA lines[J]. Proc SPIE, 2015, 9425: 94250N. [195] BARICK B K, SIMON A, WEISBORD I, et al. Tin oxide nanostructure fabrication via sequential infiltration synthesis in block copolymer thin films[J]. J Colloid Interface Sci, 2019, 557: 537-545. [196] PENG Q, TSENG Y C, DARLING S B, et al. A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates[J]. ACS Nano, 2011, 5(6): 4600-4606. [197] BISWAS M, LIBERA J A, DARLING S B, et al. New insight into the mechanism of sequential infiltration synthesis from infrared spectroscopy[J]. Chem Mater, 2014, 26(21): 6135-6141. [198] LORENZONI M, EVANGELIO L, FERN NDEZ-REG LEZ M, et al. Sequential infiltration of self-assembled block copolymers: a study by atomic force microscopy[J]. J Phys Chem C, 2017, 121(5): 3078-3086. [199] CHENG J Y, ROSS C A, CHAN V Z H, et al. Formation of a cobalt magnetic dot array via block copolymer lithography[J]. Adv Mater, 2001, 13(15): 1174-1178. [200] XIAO S, YANG X, LEE K Y, et al. Aligned nanowires and nanodots by directed block copolymer assembly[J]. Nanotechnology, 2011, 22(30): 305302. [201] KIM Y C, KIM S Y. Fabrication of gold nanowires in micropatterns using block copolymers[J]. RSC Adv, 2018, 8(35): 19532-19538. [202] TSAI H, MIYAZOE H, VORA A, et al. High chi block copolymer DSA to improve pattern quality for FinFET device fabrication[J]. Proc SPIE, 2016, 9779: 977910. [203] LAI K, LIU C C, TSAI H, et al. Design technology co-optimization assessment for directed self-assembly-based lithography: design for directed self-assembly or directed self-assembly for design?[J]. J Micro Nanolithogr MEMS MOEMS, 2017, 16(1): 013502. [204] PARK W I, YOON J M, PARK M, et al. Self-assembly-induced formation of high-density silicon oxide memristor nanostructures on graphene and metal electrodes[J]. Nano Lett, 2012, 12(3): 1235-1240. [205] PARK W I, YOU B K, MUN B H, et al. Self-assembled incorporation of modulated block copolymer nanostructures in phase-change memory for switching power reduction[J]. ACS Nano, 2013, 7(3): 2651-2658. [206] YOU B K, PARK W I, KIM J M, et al. Reliable control of filament formation in resistive memories by self-assembled nanoinsulators derived from a block copolymer[J]. ACS Nano, 2014, 8(9): 9492-9502. [207] MUN B H, YOU B K, YANG S R, et al. Flexible one diode-one phase change memory array enabled by block copolymer self-assembly[J]. ACS Nano, 2015, 9(4): 4120-4128. [208] YANG X, WAN L, XIAO S, et al. Directed block copolymer assembly versus electron beam lithography for bit-patterned media with areal density of 1 Terabit/inch2 and beyond[J]. ACS Nano, 2009, 3(7): 1844-1858. [209] XIAO S, YANG X, PARK S, et al. A novel approach to addressable 4-teradot/in2 patterned media[J]. Adv Mater, 2009, 21(24): 2516-2519. [210] HELLWIG O, BOSWORTH J K, DOBISZ E, et al. Bit patterned media based on block copolymer directed assembly with narrow magnetic switching field distribution[J]. Appl Phys Lett, 2010, 96(5): 052511. [211] RUIZ R, DOBISZ E, ALBRECHT T R. Rectangular patterns using block copolymer directed assembly for high bit aspect ratio patterned media[J]. ACS Nano, 2011, 5(1): 79-84. [212] GRIFFITHS R A, WILLIAMS A, OAKLAND C, et al. Directed self-assembly of block copolymers for use in bit patterned media fabrication[J]. J Phys D: Appl Phys, 2013, 46(50): 503001. [213] ALBRECHT T R, ARORA H, AYANOOR-VITIKKATE V, et al. Bit-patterned magnetic recording: theory, media fabrication, and recording performance[J]. IEEE Trans Magn, 2015, 51(5): 1-42. [214] KIM J Y, KIM H, KIM B H, et al. Highly tunable refractive index visible-light metasurface from block copolymer self-assembly[J]. Nat Commun, 2016, 7(1): 12911. [215] STEFIK M, GULDIN S, VIGNOLINI S, et al. Block copolymer self-assembly for nanophotonics[J]. Chem Soc Rev, 2015, 44(15): 5076-5091. [216] ALVAREZ-FERNANDEZ A, CUMMINS C, SABA M, et al. Block copolymer directed metamaterials and metasurfaces for novel optical devices[J]. Adv Opt Mater, 2021, 9(16): 2100175. [217] OSHIYAMA I, SHIGETOSHI T, MITA I, et al. Visible light sensitivity enhancement of CMOS image sensor with pseudo high refractive index film integrated by directed self-assembly process[J]. IEEE Xplore, 2021, 1-3. [218] BLACK C T. Self-aligned self assembly of multi-nanowire silicon field effect transistors[J]. Appl Phys Lett, 2005, 87(16): 163116. [219] LI D, CHIEN C, WEI X, et al. Sub-10 nm silicon finfet devices on SOI substrate made by block copolymer lithography[J]. IEEE Xplore, 2018, 1-3. [220] YANG X, XIAO S, HSU Y, et al. Directed self-assembly of block copolymer for bit patterned media with areal density of 1.5 Teradot/inch2 and beyond[J]. J Nanomater, 2013, 2013: 615896. [221] PARK S, LEE D H, XU J, et al. Macroscopic 10-terabit per square-inch arrays from block copolymers with lateral order[J]. Science, 2009, 323(5917): 1030-1033. [222] YAMAMOTO R, YUZAWA A, SHIMADA T, et al. Nanoimprint mold for 2.5 Tbit/in2 directed self-assembly bit patterned media with phase servo pattern[J]. Jpn J Appl Phys, 2012, 51: 046503. [223] YANG X, XIAO S, HU W, et al. Integration of nanoimprint lithography with block copolymer directed self-assembly for fabrication of a sub-20 nm template for bit patterned media[J]. Nanotechnology, 2014, 25(39): 395301. [224] DOERK G S, GAO H, WAN L, et al. Transfer of self-aligned spacer patterns for single-digit nanofabrication[J]. Nanotechnology, 2015, 26(8): 085304. [225] MOKARIAN-TABARI P, SENTHAMARAIKANNAN R, GLYNN C, et al. Large block copolymer self-assembly for fabrication of subwavelength nanostructures for applications in optics[J]. Nano Lett, 2017, 17(5): 2973-2978. [226] KANG H S, HAN S W, PARK C, et al. 3D touchless multiorder reflection structural color sensing display[J]. Sci Adv, 2020, 6(30): eabb5769. [227] GUO J, JANES D, MIGNOT Y, et al. LCDU improvement of EUV-patterned vias with DSA[J]. Proc SPIE, 2019, 10958: 109580N. [228] LAI K, LIU C C, PITERA J, et al. Computational aspects of optical lithography extension by directed self-assembly[J]. Proc SPIE, 2013, 8683: 868304. [229] LIU C C, ESTRADA-RAYGOZA C, HE H, et al. Towards electrical testable SOI devices using directed self-assembly for fin formation[J]. Proc SPIE, 2014, 9049: 904909. [230] LIU C C, LIE F L, RASTOGI V, et al. Fin formation using graphoepitaxy DSA for FinFET device fabrication[J]. Proc SPIE, 2015, 9423: 94230S. [231] LIU C C, FRANKE E, LIE F L, et al. DSA patterning options for FinFET formation at 7 nm node[J]. Proc SPIE, 2016, 9777: 97770R. [232] SOMERVELL M, GRONHEID R, HOOGE J, et al. Comparison of directed self-assembly integrations[J]. Proc SPIE, 2012, 8325: 83250G. [233] MURAMATSU M, NISHI T, IDO Y, et al. Defect mitigation of chemoepitaxy DSA patterns[J]. Proc SPIE, 2020, 11326: 113260Y. [234] MURAMATSU M, NISHI T, IDO Y, et al. DSA process optimization for high volume manufacturing[J]. Proc SPIE, 2021, 11610: 116100N. [235] SEINO Y, YONEMITSU H, SATO H, et al. Contact hole shrink process using graphoepitaxial directed self-assembly lithography[J]. J Micro Nanolithogr MEMS MOEMS, 2013, 12(3): 033011. |
[1] | Zi-Li LI, Xing-Ran XU, Jiang-Hao ZHAN, Xiao-Hua HU, Zi-Ying ZHANG, Shi-Sheng XIONG. Advanced Materials for Lithography [J]. Chinese Journal of Applied Chemistry, 2022, 39(6): 859-870. |
[2] | TIAN Xin, LAI Han-Wen, LIU Ya-Dong, JI Sheng-Xiang. Analysis of Defects in Block Copolymer Films by a Convolution Algorithm [J]. Chinese Journal of Applied Chemistry, 2021, 38(9): 1199-1208. |
[3] | Long-Fei LUO, Yu-Jie LI, Zhi-Hao SHEN, Shi-Jun ZHENG, Xing-He FAN. Progress in Self⁃assembly and Photo⁃responsiveness of Thin Films of Azobenzene⁃Based Liquid Crystalline Block Copolymers [J]. Chinese Journal of Applied Chemistry, 2021, 38(10): 1238-1254. |
[4] | Ai-Hua CHEN, Cheng-Yun ZHANG, Zi-Chao DENG, Ya-Lan SUN. Structure Control of Liquid Crystalline Block Copolymers in Liquid⁃Phase Self⁃assembly [J]. Chinese Journal of Applied Chemistry, 2021, 38(10): 1255-1267. |
[5] | Zhao-Yong ZHANG, Qian LI, Da-Lin WANG, Jiang-Lin FANG, Dong-Zhong CHEN. Controlled Synthesis and Photophysical Properties of Liquid Crystalline Diblock Copolymers with Side⁃Chain Discotic Triphylene and Calamitic Azobenzene Mesogens [J]. Chinese Journal of Applied Chemistry, 2021, 38(10): 1340-1352. |
[6] | Zhao-Yong ZHANG, Qian LI, Da-Lin WANG, Jiang-Lin FANG, Dong-Zhong CHEN. Controlled Synthesis and Photophysical Properties of Liquid Crystalline Diblock Copolymers with Side⁃Chain Discotic Triphylene and Calamitic Azobenzene Mesogens [J]. Chinese Journal of Applied Chemistry, 2021, 38(10): 0-0. |
[7] | ZHANG Yanhui, WANG Lin, MUSLIM Arzugul, LAN Haidie. Influence of the Core Forming Block Length of Polystyrene-b-Poly(acrylic acid) Template on the Size and Properties of Polyaniline [J]. Chinese Journal of Applied Chemistry, 2020, 37(7): 764-771. |
[8] | LI Maosheng, CHEN Jinlong, TAO Youhua. Regio- and Stereoselective Ring-Opening Metathesis Polymerization of Amino Acid Functionalized Cyclooctenes [J]. Chinese Journal of Applied Chemistry, 2020, 37(3): 280-292. |
[9] | LIU Ning,LIU Shuilin,WU Suyun,TANG Xinde,WU Zhi,LI Aiyang. CTAB-P123-Assisted Synthesis of Orderly Mesoporous KF/Al-Ce-SBA-15 Solid Base and Its Catalytic Application [J]. Chinese Journal of Applied Chemistry, 2019, 36(11): 1294-1300. |
[10] | ZHANG Xiaosa, JI Shengxiang. Synthesis and Directed Self-assembly of Cylinder-Forming Poly(styrene-b-lactide) Block Copolymers [J]. Chinese Journal of Applied Chemistry, 2018, 35(12): 1420-1426. |
[11] | XU Yuzhong,TONG Yongfen,TAN Licheng,CHEN Yiwang. Block Copolymer Electrolytes for Lithium Batteries [J]. Chinese Journal of Applied Chemistry, 2017, 34(3): 245-261. |
[12] | LI Liangui, FU Zhipeng, LI Mingchao, ZHANG Qiang, WANG Rui, WANG Guoqiang, JIANG Min. Synthesis and Characterization of Polyethylene Terephthalate-b-poly(ethylene 2,5-furandicarboxylate) Block Polyester [J]. Chinese Journal of Applied Chemistry, 2017, 34(1): 54-59. |
[13] | ZHANG Fan, WANG Lulu, WANG Jilin, FENG Ruijiang, ZHANG Yang. Gemini-type Cationic Molecules-Styrene Block Polymer Anion Conducting Membrane Prepared by in-situ Polymerization [J]. Chinese Journal of Applied Chemistry, 2016, 33(6): 693-700. |
[14] | ZHENG Chao, HUANG Haiying*, HE Tianbai*. Research Progress in Gradient Copolymers [J]. Chinese Journal of Applied Chemistry, 2014, 31(11): 1237-1247. |
[15] | QI Yin, YUAN Jinfang,GAO Qingyu*. Preparation of a Block Copolymer Poly(N-isopropylacrylamide)-b-poly(diacetone acrylamide) and Its Loading and Release Properties to Folic Acid [J]. Chinese Journal of Applied Chemistry, 2014, 31(06): 642-648. |
Viewed | ||||||
Full text |
|
|||||
Abstract |
|
|||||